(edif Synopsys_edif (edifVersion 2 0 0) (edifLevel 0) (keywordMap (keywordLevel 0)) (status (written (timeStamp 1995 4 9 17 24 18) (program "Synopsys Design Compiler" (Version "v3.2b")) (dataOrigin "Duke EE") (author "Scott Harrington") ) ) (external (rename xprim_3000_50 "xprim_3000-50") (edifLevel 0) (technology (numberDefinition)) (cell FDCE (cellType GENERIC) (view Netlist_representation (viewType NETLIST) (interface (port Q (direction OUTPUT)) (port D (direction INPUT)) (port C (direction INPUT)) (port CE (direction INPUT)) (port CLR (direction INPUT)) ) ) ) (cell IBUF (cellType GENERIC) (view Netlist_representation (viewType NETLIST) (interface (port O (direction OUTPUT)) (port I (direction INPUT))) ) ) (cell OBUF (cellType GENERIC) (view Netlist_representation (viewType NETLIST) (interface (port O (direction OUTPUT)) (port I (direction INPUT))) ) ) (cell XNOR2 (cellType GENERIC) (view Netlist_representation (viewType NETLIST) (interface (port O (direction OUTPUT)) (port I1 (direction INPUT)) (port I0 (direction INPUT)) ) ) ) ) (external (rename xprim_3042_50 "xprim_3042-50") (edifLevel 0) (technology (numberDefinition)) (cell BUFG_F (cellType GENERIC) (view Netlist_representation (viewType NETLIST) (interface (port O (direction OUTPUT)) (port I (direction INPUT))) ) ) ) (external xgen_3000 (edifLevel 0) (technology (numberDefinition)) (cell GND (cellType GENERIC) (view Netlist_representation (viewType NETLIST) (interface (port GROUND (direction OUTPUT))) ) ) ) (library DESIGNS (edifLevel 0) (technology (numberDefinition)) (cell lfsr (cellType GENERIC) (property part (string "3042pc84-50")) (view Netlist_representation (viewType NETLIST) (interface (port Clk (direction INPUT)) (port CE (direction INPUT)) (port (array (rename Q_3_0_ "Q<3:0>") 4) (direction OUTPUT)) ) (contents (instance (rename Q_reg_0_ "Q_reg<0>") (viewRef Netlist_representation (cellRef FDCE (libraryRef xprim_3000_50)) ) ) (instance (rename Q_reg_1_ "Q_reg<1>") (viewRef Netlist_representation (cellRef FDCE (libraryRef xprim_3000_50)) ) ) (instance (rename Q_reg_2_ "Q_reg<2>") (viewRef Netlist_representation (cellRef FDCE (libraryRef xprim_3000_50)) ) ) (instance (rename Q_reg_3_ "Q_reg<3>") (viewRef Netlist_representation (cellRef FDCE (libraryRef xprim_3000_50)) ) ) (instance U22 (viewRef Netlist_representation (cellRef OBUF (libraryRef xprim_3000_50)) ) ) (instance U23 (viewRef Netlist_representation (cellRef OBUF (libraryRef xprim_3000_50)) ) ) (instance U24 (viewRef Netlist_representation (cellRef OBUF (libraryRef xprim_3000_50)) ) ) (instance U25 (viewRef Netlist_representation (cellRef OBUF (libraryRef xprim_3000_50)) ) ) (instance U26 (viewRef Netlist_representation (cellRef IBUF (libraryRef xprim_3000_50)) ) ) (instance U27 (viewRef Netlist_representation (cellRef BUFG_F (libraryRef xprim_3042_50)) ) ) (instance U28 (viewRef Netlist_representation (cellRef GND (libraryRef xgen_3000))) ) (instance U29 (viewRef Netlist_representation (cellRef XNOR2 (libraryRef xprim_3000_50)) ) ) (net (rename Q_0_ "Q<0>") (joined (portRef (member Q_3_0_ 3)) (portRef O (instanceRef U22))) ) (net (rename Q_1_ "Q<1>") (joined (portRef (member Q_3_0_ 2)) (portRef O (instanceRef U23))) ) (net (rename Q_2_ "Q<2>") (joined (portRef (member Q_3_0_ 1)) (portRef O (instanceRef U24))) ) (net (rename Q_3_ "Q<3>") (joined (portRef (member Q_3_0_ 0)) (portRef O (instanceRef U25))) ) (net CE (joined (portRef CE) (portRef I (instanceRef U26)))) (net n77 (joined (portRef CLR (instanceRef Q_reg_0_)) (portRef CLR (instanceRef Q_reg_1_)) (portRef CLR (instanceRef Q_reg_2_)) (portRef CLR (instanceRef Q_reg_3_)) (portRef GROUND (instanceRef U28)) ) ) (net n78 (joined (portRef I (instanceRef U25)) (portRef I0 (instanceRef U29)) (portRef Q (instanceRef Q_reg_3_)) ) ) (net (rename Q38_0_ "Q38<0>") (joined (portRef D (instanceRef Q_reg_0_)) (portRef O (instanceRef U29)) ) ) (net n68 (joined (portRef C (instanceRef Q_reg_3_)) (portRef C (instanceRef Q_reg_2_)) (portRef C (instanceRef Q_reg_1_)) (portRef C (instanceRef Q_reg_0_)) (portRef O (instanceRef U27)) ) ) (net (rename Q38_1_ "Q38<1>") (joined (portRef I (instanceRef U22)) (portRef D (instanceRef Q_reg_1_)) (portRef Q (instanceRef Q_reg_0_)) ) ) (net Clk (joined (portRef Clk) (portRef I (instanceRef U27)))) (net (rename Q38_2_ "Q38<2>") (joined (portRef I (instanceRef U23)) (portRef D (instanceRef Q_reg_2_)) (portRef Q (instanceRef Q_reg_1_)) ) ) (net (rename Q38_3_ "Q38<3>") (joined (portRef I (instanceRef U24)) (portRef I1 (instanceRef U29)) (portRef D (instanceRef Q_reg_3_)) (portRef Q (instanceRef Q_reg_2_)) ) ) (net (rename n39_0_ "n39<0>") (joined (portRef CE (instanceRef Q_reg_3_)) (portRef CE (instanceRef Q_reg_2_)) (portRef CE (instanceRef Q_reg_1_)) (portRef CE (instanceRef Q_reg_0_)) (portRef O (instanceRef U26)) ) ) ) ) ) ) (design Synopsys_edif (cellRef lfsr (libraryRef DESIGNS))) )